fixed heating logic

This commit is contained in:
Martin Brodbeck 2022-05-24 21:48:19 +02:00
parent ce657b3636
commit 69afa72f34
1 changed files with 3 additions and 3 deletions

View File

@ -97,14 +97,14 @@ while True:
is_heating = True
elif system_on == True and temp_curr > temp_tgt + temp_gap:
is_heating = False
else:
is_heating = False
ctrl_relais(is_heating)
if system_on == True:
system_on_string = "ON "
else:
system_on_string = "OFF"
is_heating = False
if is_heating == True:
heat_string = ">H<"
@ -113,4 +113,4 @@ while True:
lcd.move_to(0, 0)
lcd.putstr("ACT: {0:3.1f}".format(temp_curr) + chr(0) + "C " + heat_string +
"\nTGT: {0:3.1f}".format(temp_tgt) + chr(0) + "C " + system_on_string)
"\nTGT: {0:3.1f}".format(temp_tgt) + chr(0) + "C " + system_on_string)